// 4bit_fulladder_v2.v `timescale 1ns / 1ps `default_nettype none module fourbit_fulladder_v2 ( input wire cin, input wire [3:0] a, input wire [3:0] b, output wire [3:0] sum, output wire cout ); assign {cout,sum} = a+b+cin; endmodule